FPGA流水灯

FPGA流水灯

参考博客:(一)FPGA流水灯的实现
第一次在CSDN上写博客,记录一下自己学习FPGA的历程吧,也是对CSDN这个平台的回馈。过去大半年在这上面学习了不少知识,现在也希望能给别人一些帮助。下面言归正传:
“流水灯”应该是每一个开发板的初学者都要做的一步吧,这两天对FPGA的板子有了一个初步的认识,今天就花了半天(原谅我学得有点慢),写了一个八位的LED流水灯。
用的是Quartus 13.0,代码如下:

代码的原理还是很简单的,具体可以参考我开头的参考博客,我只是比他多了4个LED灯,位数相应的增加了。
代码编写完了之后,我们让软件给我们分析一遍,看有没有错误。点击软件最上方Processing / Start / Start Analysis & Synthesis,或者直接点击下图红色方框中的键:

FPGA流水灯

·
·
然后,我们进行功能仿真,也叫RTL行为级仿真或者前仿真。

首先编辑test bench文件,代码如下:

我稍后会再写一篇功能仿真的软件操作步骤,毕竟Quartus是英文的,对自学的同学来说不太友好,并且这部分操作确实有点麻烦,将心比心,我尽量把我觉得在初学阶段可能碰到的问题写清楚,多帮助一些人。

下面,我们运行RTL Simulation,结果如下:

FPGA流水灯
大家可以在左侧找到,双击即可:
FPGA流水灯
根据查找板子的使用说明书,找到合适的引脚,相对应的输入红色方框中。可以直接在其中输入“y2”、“g21”等引脚号,不用区分大小写,然后按回车键;也可以直接复制粘贴引脚表格中的引脚号,这里就不详细说了。
设置好引脚后,不需要保存,直接关闭引脚设置窗口。

最后就是将我们的程序烧写到板子里去。

将开发板与电脑连接(如果在电脑上读不出开发板,可能是需要手动安装板子的驱动,我当时也碰到了这个问题,可以百度解决),点击Programmer,在跳出的窗口中点击Add File…,将output_files文件夹里面的.sof文件打开到刚刚跳出的窗口,最后点击Start,就将程序烧入FPGA开发板中了。

如果到这里一切顺利,那么恭喜你,完成了开发板的第一个基础程序开发,完结撒花!!!

ps:文中如有错误或不足,欢迎各位大佬予以批评指正。

来源:喜欢、九点半

声明:本站部分文章及图片转载于互联网,内容版权归原作者所有,如本站任何资料有侵权请您尽早请联系jinwei@zod.com.cn进行处理,非常感谢!

上一篇 2020年10月18日
下一篇 2020年10月18日

相关推荐