FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

目录

一、新建工程

二、led.v程序编辑

三、综合—管脚配置—编译

四、连接电路板


一、新建工程

打开软件 VIVADO,选择第一个栏的Creat Project 

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

然后会出现 VIVADO 新建工程介绍,如下图所示,直接点击“Next”。

 

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

此时会出现的是工程文件夹、工程名、顶层模块名设置界面,设置目录为:D:/…,设置完成后点击“Next”。

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

 选择RTL project

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

 在接下来的页面create file;下一个页面点next;再下一个根据FPGA版本选择(下图仅供参考),最后点Finish

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

 弹出界面(初始化代码的作用,可以不写)

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

二、led.v程序编辑

找到led.v文件,双击打开,输入程序(使用自己的代码也可,主要看流程)

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

 程序具体看:FPGA的初始学习,使用按键控制LED灯闪亮方式。-C文档类资源-CSDN下载

三、综合—管脚配置—编译

总的:依次点Run synthesis ——Run implementation——open synthesized design(配置引脚)——Generate bistream(生成比特流)

Run synthesis

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

等待一会,运行完出现下面界面——选择Run implementation

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

 再等一会,出现以下界面,选择open implemented Design

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

在左上角 I/O planning 或者菜单栏–window– I/O port ,打开引脚配置

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

 配置引脚,进行保存 CTRL+S,看到引脚信息pin.xdc

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。 FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

四、连接电路板

先连接电路板

点击Generate bistream生成比特流

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。 FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

 

FPGA初级篇①——LED的闪烁方式,FPGA新建工程,vivado使用。

到此全部结束,在实验板上可以看到效果啦!

来源:孤风寻觅

声明:本站部分文章及图片转载于互联网,内容版权归原作者所有,如本站任何资料有侵权请您尽早请联系jinwei@zod.com.cn进行处理,非常感谢!

上一篇 2022年3月8日
下一篇 2022年3月8日

相关推荐