verilog编程控制LED流水灯,跑马灯,vivado软件

1、 打开vavido软件,制定一个工程存放目录
Vavido014.1是一个标准的窗口应用程序,可以单击程序按钮开始运行。打开后的界面如图所示:

这里写图片描述
点击next,编写工程名字,选择工程存放路径
这里写图片描述
选择芯片类型
这里写图片描述
3、 新建源文件,编写功能代码
在design source上右击选择add source
这里写图片描述
点击create file,选择语言类型为verilog,编写文件名字并设置存放路径,点击finish,点击ok
这里写图片描述
代码如下:

4、 Synthesis
完成功能代码编写,点击Run Synthesis

这里写图片描述
这里写图片描述
点击ok后,在界面中设置输入输出端口的位置,即约束管脚
这里写图片描述
这里写图片描述
7、 Open and connect Target
bit文件生成之后,点击Open Hardware Manager
这里写图片描述
默认,next
这里写图片描述
默认,next
这里写图片描述
8、 Program的下载
在xc7a100t_0上右击,选择program device,将Bit文件下载至FPGA.观察实验现象
这里写图片描述
另附上verilog数码管相关编程的链接
按钮控制四个数码管个位,十位,百位,千位的累加
数码管模仿3-8译码器
单只数码管循环显示0-9

来源:放浪不羁爱自由

声明:本站部分文章及图片转载于互联网,内容版权归原作者所有,如本站任何资料有侵权请您尽早请联系jinwei@zod.com.cn进行处理,非常感谢!

上一篇 2017年4月23日
下一篇 2017年4月24日

相关推荐