基于FPGA的交通灯

基于FPGA的交通灯


前言

交通信号灯往往由红、绿、黄三种颜色的灯组成。红灯亮的时候,禁止通行;绿灯亮的时候,可以通行;黄灯亮的时候,提示通行时间已经结束,马上要转换为红灯。

本设计采用Verilog HDL语言编写,实现了十字路口的交通灯设计。


文章目录

  • 基于FPGA的交通灯
  • 前言
  • 一、系统设计
  • 二、硬件设计
  • 三.软件设计
    • 1.顶层设计
    • 2.交通灯控制设计
    • 3.数码管显示设计
    • 4.LED灯控制设计
  • 总结


一、系统设计

根据实验任务,我们可以大致规划出系统的控制流程:交通灯控制模块将需要显示的时间 数据连接到数码管显示模块,同时将状态信号连接到led灯控制模块,然后数码管显示模块和 led灯控制模块驱动交通信号灯外设工作。系统总体框架图如图所示

基于FPGA的交通灯

二、硬件设计

拓展外接电路如下:

基于FPGA的交通灯

三.软件设计

1.顶层设计

顶层设计完成了对其它三个子模块的例化、实现了子模块间 的信号连接、并将led灯和数码管的驱动信号输出给外接设备(交通信号灯外设)。

2.交通灯控制设计

交通灯控制模块是本次实验的核心代码,这个模块 控制信号灯的状态转换,将实时的状态信号state[1:0]输出给led灯控制模块(led),同时将各个方向的实时时间数据输出给数码管显示模块 (seg_led)。

3.数码管显示设计

接收交通灯控制模块传递过来的实时时间数据,并以此驱动对应的数码管,将数据显示出来。

来源:marries

声明:本站部分文章及图片转载于互联网,内容版权归原作者所有,如本站任何资料有侵权请您尽早请联系jinwei@zod.com.cn进行处理,非常感谢!

上一篇 2021年1月22日
下一篇 2021年1月22日

相关推荐